The process of this stage is dedicated to creating a unique design environment identical to customers. Entering to the coding process, your design will be identified constraints and take the necessary logic and physical synthesis downstream.

 

Legend Description:
The light-colored block:design implementation stageĀ 
The dark-colored block:design verification stage

 

Design Stage Major Task Design Kit/EDA Tool
Design Implementation Logic synthesis Synopsys Design Compiler
Synopsys Fusion Compiler
Cadence Genus
DFT synthesis/ATPG Faraday ftip/fiolt/fmbist/fscan/fbsd/fatpg
Synopsys/BSD Compiler
Incentia TestCraft
Siemens Tessent
MBIST/TestKompress/FastScan
Physical implementation Cadence Innovus
Synopsys IC Compiler II